Repository | Packages | Projects | Feeds | ||||||||||
---|---|---|---|---|---|---|---|---|---|---|---|---|---|
Total | Newest | Outdated | Problematic | Potentially vulnerable | Other lists | ||||||||
AUR | 16 | 16 | 14 | 87.5% | - | 1 | 6.2% | - | HCO LN ∗ U Problems |
html,
atom |
|||
Total | 16 | 16 | 14 | 87.5% | - | 1 | 6.2% | - | HCO LN ∗ U |
eqy-nightly, icestorm-nightly, mcy-nightly, mistral-nightly, netgen-lvs, nextpnr-all-nightly, nextpnr-ecp5-nightly, nextpnr-generic-nightly, nextpnr-ice40-nightly, nextpnr-machxo2-nightly, nextpnr-nexus-nightly, prjoxide-nightly, prjtrellis-nightly, symbiyosys, symbiyosys-nightly, yosys-nightly
Maintainer | Score | Common projects |
---|---|---|
killruana@aur | 5.00 | 1 |
just22@atlantide.mooo.com | 3.45 | 1 |
benallard@aur | 3.12 | 1 |
sci-electronics@gentoo.org | 1.96 | 1 |
pkg-electronics-devel@lists.alioth.debian.org | 1.43 | 1 |
ruben.undheim@gmail.com | 1.35 | 1 |
Maintainer | Score | Common projects |
---|---|---|
nixpkgs@emily.moe | 1.19 | 1 |
aseipp@pobox.com | 0.45 | 1 |
alpine@ptrcnull.me | 0.30 | 1 |
mandian@tutanota.com | 0.19 | 1 |
yuri@freebsd.org | 0.08 | 2 |